TSMC Launches New N12e Process: FinFET at 0.4V for IoT

One of the main drivers for the semiconductor industry is the growth in always-connected devices that require silicon inside, either for compute, communication, or control. The ‘Internet of Things&rsquo...

27 by Dr. Ian Cutress on 8/27/2020

The Future Games Show, 28th August: 1.5hr of Demos and Updates, Showcasing 50+ Games

Want to catch some of the most interesting new trailers and gameplay footage from 50+ upcoming games, spanning AAA to indie? On Friday 28th August, at noon PDT /...

19 by Future Publishing on 8/27/2020

TSMC: We have 50% of All EUV Installations, 60% Wafer Capacity

One of the overriding central messages to TSMC’s Technology Symposium this week is that the company is a world leader in semiconductor manufacturing, especially at the leading edge process...

32 by Dr. Ian Cutress on 8/27/2020

TSMC and Graphcore Prepare for AI Acceleration on 3nm

One of the side announcements made during TSMC’s Technology Symposium was that it already has customers on hand with product development progressing for its future 3nm process node technology...

2 by Dr. Ian Cutress on 8/27/2020

Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm

As we passed that 22nm to 16nm barrier, almost all the major semiconductor fabrication companies on the leading edge transitioned from planar transistors to FinFET transistors. The benefits of...

37 by Dr. Ian Cutress on 8/26/2020

Nimbus Data’s New ExaDrive NL: 64 TB of Enterprise Grade QLC in 3.5-inch

Today Nimbus Data, one of the first companies to venture into enterprise flash storage in 2003, is announcing its latest generation ExaDrive product. Following on from the success of...

27 by Dr. Ian Cutress on 8/26/2020

NVIDIA Confirms 12-pin GPU Power Connector

Today as part of a video showcasing NVIDIA’s mechanical and industrial design of its GPUs, and how it gets a large GPU to dissipate heat, the company went into...

80 by Dr. Ian Cutress on 8/26/2020

2023 Interposers: TSMC Hints at 3400mm2 + 12x HBM in one Package

High-performance computing chip designs have been pushing the ultra-high-end packaging technologies to their limits in the recent years. A solution to the need for extreme bandwidth requirements in the...

35 by Andrei Frumusanu on 8/25/2020

TSMC Expects 5nm to be 11% of 2020 Wafer Production (sub 16nm)

One of the measures of how quickly a new process node gains traction is by comparing how many wafers are in production, especially as that new process node goes...

13 by Dr. Ian Cutress on 8/25/2020

TSMC’s Version of EMIB is ‘LSI’: Currently in Pre-Qualification

Whilst process node technologies and Moore’s Law are slowing down, manufacturers and chip designers are looking to new creative solutions to further enable device and performance scaling. Advanced packaging...

19 by Andrei Frumusanu on 8/25/2020

TSMC Teases 12-High 3D Stacked Silicon: SoIC Goes Extreme

I’ve maintained for a couple of years now that the future battleground when it comes to next-generation silicon is going to be in the interconnect – implicitly this relies...

15 by Dr. Ian Cutress on 8/25/2020

be quiet! Announces Dark Power Pro 12 PSUs: 80Plus Titanium, Up to 1500W

The increasingly popular German hardware manufacturer be quiet! has announced its latest series in its ever-growing power supply line-up, the Dark Power Pro 12. Equipped with fully digital hardware...

15 by Gavin Bonshor on 8/25/2020

TSMC Updates on Node Availability Beyond Logic: Analog, HV, Sensors, RF

Most of the time when we speak about semiconductor processes, we are focused on the leading edge of what is possible. Almost exclusively that leading edge is designed for...

3 by Dr. Ian Cutress on 8/25/2020

Crucial Launches X6 Portable SSD, Updates X8 with 2TB Model: QLC Drives for the Budget-Conscious

Crucial introduced their first bus-powered direct-attached storage product last year - the X8 Portable SSD. The product put their P1 NVMe SSD behind an ASMedia bridge to offer read...

8 by Ganesh T S on 8/25/2020

TSMC Details 3nm Process Technology: Full Node Scaling for 2H22 Volume Production

At TSMC’s annual Technology Symposium, the Taiwanese semiconductor manufacturer detailed characteristics of its future 3nm process node as well as laying out a roadmap for 5nm successors in the...

58 by Andrei Frumusanu on 8/24/2020

Intel Moving to Chiplets: ‘Client 2.0’ for 7nm

One of the more esoteric elements of Intel’s Architecture Day 2020 came very near the end, where Intel spent a few minutes discussing what it believes is the future...

67 by Dr. Ian Cutress on 8/21/2020

Intel’s New 224G PAM4 Transceivers

One battleground in the world of FPGAs is the transceiver – the ability to bring in (or push out) high speed signals onto an FPGA at low power. In...

12 by Dr. Ian Cutress on 8/21/2020

Intel’s Future 7nm FPGAs To Use Foveros 3D Stacking

One of the main battlegrounds of future leading-edge semiconductor products will be in the packaging technology: being able to integrate multiple elements of silicon onto the same package with...

11 by Dr. Ian Cutress on 8/21/2020

Cerebras Wafer Scale Engine News: DoE Supercomputer Gets 400,000 AI Cores

One of the more interesting AI silicon projects over the last couple of years has been the Cerebras Wafer Scale Engine, most notably for the fact that a single...

8 by Dr. Ian Cutress on 8/21/2020

Intel Xe-HP Graphics: Early Samples Offer 42+ TFLOPs of FP32 Performance

One of the promises that Intel has made with its new Xe GPU family is that in its various forms it will cater to uses ranging from integrated graphics...

43 by Dr. Ian Cutress on 8/21/2020
BENCH Bench gives you access to our internal benchmark data so that you can compare the products without searching for an older review. Make reliable comparisons between products by clicking on product categories below!

Log in

Don't have an account? Sign up now